VHDL Question with EDA playground - Illegal non-graphic Character

350 Views Asked by At

I am facing with problems with VHDL with EDA playground - Any solutions are welcomed.

design.vhd

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity SwitchEncoder_vhdl is
 port( clk                    : in std_logic;
     key                    : in std_logic_vector(15 downto 0);
     key_out                : out std_logic_vector(3 downto 0));
end SwitchEncoder_vhdl;

architecture SwitchEncoder of SwitchEncoder_vhdl is
 begin  
process(clk, key)
begin
 if rising_edge(clk) then 
  case key(15 downto 0) is
   when “0000000000000001” => key_out <= “0000”;
   when “0000000000000010” => key_out <= “0001”;
   when “0000000000000100” => key_out <= “0010”;
   when “0000000000001000” => key_out <= “0011”; 
   when “0000000000010000” => key_out <= “0100”;    
   when “0000000000100000” => key_out <= “0101”;   
   when “0000000001000000” => key_out <= “0110”; 
   when “0000000010000000” => key_out <= “0111”;
   when “0000000100000000” => key_out <= “1000”;
   when “0000001000000000” => key_out <= “1001”;
   when “0000010000000000” => key_out <= “1010”;
   when “0000100000000000” => key_out <= “1011”;
   when “0001000000000000” => key_out <= “1100”;
   when “0010000000000000” => key_out <= “1101”;
   when “0100000000000000” => key_out <= “1110”;
   when “1000000000000000” => key_out <= “1111”;
   when others => null;
    end case;
   end if;
end process;
end SwitchEncoder;    

testbench_vhd

library ieee;
use iee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity tb_SwitchEncoder_vhdl is
end tb_SwitchEncoder_vhdl;

architecture tb of tb_SwitchEncoder_vhdl is
  signal clk                    : in std_logic; --inputs
  signal key : in std_logic_vector(15 downto 0); --yet another input
  signal key_out                : out std_logic_vector(3 downto 0)
); -- outputs 

begin
  --connecting testbenches with SwitchEncoder.vhd
  uut: entity work.SwitchEncoder_vhdl port map( clk => clk, key => key, key_out => key_out);
  --inputs
  --
  clk <= '0', clk <= '1' after 10ns, clk <= '0' after 20ns, clk <= '1' after 30s, clk <= '0' after 40ns, clk <= '1' after 50s, clk <= '0' after 60ns, clk <= '1' after 70s, clk <= '0' after 80ns, clk <= '1' after 90s, clk <= '0' after 100ns, clk <= '1' after 110s, clk <= '0' after 120ns, clk <= '1' after 130s, clk <= '0' after 140ns, clk <= '1' after 150s, clk <= '0' after 160ns, clk <= '1' after 170s;
  key <= "0000000000000010", key <= "0000000000001000" after 40ns, key <= "0000100000000000" after 80ns, key <= "0010000000001000" after 120ns;  
end tb;

Tools: Aldec Riviera Pro 2020.04 Compile Options: -2019

Errors I'm having:

COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 19 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 19 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 19 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 19 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 19 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 19 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 19 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 19 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 20 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 20 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 20 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 20 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 20 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 20 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 20 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 20 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 21 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 21 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 21 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 21 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 21 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 21 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 21 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 21 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 22 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 22 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 22 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 22 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 22 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 22 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 22 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 22 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 23 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 23 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 23 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 23 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 23 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 23 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 23 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 23 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 24 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 24 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 24 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 24 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 24 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 24 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 24 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 24 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 25 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 25 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 25 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 25 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 25 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 25 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 25 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 25 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 26 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 26 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 26 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 26 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 26 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 26 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 26 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 26 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 27 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 27 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 27 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 27 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 27 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 27 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 27 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 27 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 28 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 28 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 28 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 28 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 28 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 28 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 28 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 28 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 29 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 29 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 29 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 29 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 29 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 29 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 29 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 29 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 30 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 30 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 30 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 30 30
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 30 47
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 30 48
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 30 54
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 30 55
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 31 10
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9c>)." "design.vhd" 31 11
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x80>)." "design.vhd" 31 29
COMP96 ERROR COMP96_0696: "Illegal non-graphic character (<0x9d>)." "design.vhd" 31 30

//////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////

1

There are 1 best solutions below

0
On

You're using the angled quote characters, isntead of the simple ones.

“0000000000000001” should be "0000000000000001"